4717452

9780387333991

Systemverilog for Design A Guide to Using Systemverilog for Hardware Design And Modeling

Systemverilog for Design A Guide to Using Systemverilog for Hardware Design And Modeling
$197.04
$3.95 Shipping
  • Condition: New
  • Provider: TextbookRush Contact
  • Provider Rating:
    91%
  • Ships From: Columbus, OH
  • Shipping: Standard, Expedited
  • Comments: All orders ship SAME or NEXT business day. Expedited shipments will be received in 1-5 business days within the United States. We proudly ship to APO/FPO addresses. 100% Satisfaction Guaranteed!

seal  
$127.52
$3.95 Shipping
List Price
$159.00
Discount
19% Off
You Save
$31.48

  • Condition: Good
  • Provider: Ergode New Condition Contact
  • Provider Rating:
    0%
  • Ships From: Houston, TX
  • Shipping: Standard
  • Comments: Buy with confidence. Excellent Customer Service & Return policy.

seal  

Ask the provider about this item.

Most renters respond to questions in 48 hours or less.
The response will be emailed to you.
Cancel
  • ISBN-13: 9780387333991
  • ISBN: 0387333991
  • Edition: 2
  • Publication Date: 2006
  • Publisher: Springer

AUTHOR

Sutherland, Stuart, Davidmann, Simon, Flake, Peter

SUMMARY

SystemVerilog is a rich set of extensions to the IEEE 1364-2001 Verilog Hardware Description Language (Verilog HDL). These extensions address two major aspects of HDL-based design. First, modeling very large designs with concise, accurate, and intuitive code. Second, writing high-level test programs to efficiently and effectively verify these large designs.The first edition of this book addressed the first aspect of the SystemVerilog extensions to Verilog. Important modeling features were presented, such as two-state data types, enumerated types, user-degined types, structures, unions, and interfaces. Emphasis was placed on the proper usage of these enhancements for simulation and synthesis.SystemVerilog for Design, Second Edition has been extensively revised on a chapter by chapter basis to include the many text and example updates needed to reflect changes that were made between the first edition of this book was written and the finalization of the new standard. It is important that the book reflect these syntax and semantic changes to the SystemVerilog language.In addition, the second edition features a new chapter that explanis the SystemVerilog "packages," a new appendix that summarizes the synthesis guidelines presented throughout the book, and all of the code examples have been updated to the final syntax and rerun using the latest version of the Synopsys, Mentor, and Cadance tools.Sutherland, Stuart is the author of 'Systemverilog for Design A Guide to Using Systemverilog for Hardware Design And Modeling', published 2006 under ISBN 9780387333991 and ISBN 0387333991.

[read more]

Questions about purchases?

You can find lots of answers to common customer questions in our FAQs

View a detailed breakdown of our shipping prices

Learn about our return policy

Still need help? Feel free to contact us

View college textbooks by subject
and top textbooks for college

The ValoreBooks Guarantee

The ValoreBooks Guarantee

With our dedicated customer support team, you can rest easy knowing that we're doing everything we can to save you time, money, and stress.